Journal Name:Nano Letters
Journal ISSN:1530-6984
IF:10.8
Journal Website:http://pubs.acs.org/journal/nalefd
Year of Origin:2001
Publisher:American Chemical Society (ACS)
Number of Articles Per Year:1104
Publishing Cycle:Monthly
OA or Not:Not
Retrospective on VLSI value scaling and lithography
Nano Letters ( IF 10.8 ) Pub Date: 2019-11-26 , DOI: 10.1117/1.jmm.18.4.040902
Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials and devices, microarchitecture, and circuit design. Focusing on three decades of microprocessor data enables quantification of how innovations from those domains have contributed over time to integrated-circuit “value scaling” in terms of performance, power, and cost. At some point, lateral shrinking will end altogether and the kinds of ingenuity emerging from those domains may provide clues for how very large-scale integration value creation will advance beyond that point.
Detail
Model improvements to simulate charging in scanning electron microscope
Nano Letters ( IF 10.8 ) Pub Date: 2019-12-05 , DOI: 10.1117/1.jmm.18.4.044003
Abstract. Background: Charging of insulators is a complex phenomenon to simulate since the accuracy of the simulations is very sensitive to the interaction of electrons with matter and electric fields. Aim: In this study, we report model improvements for a previously developed Monte-Carlo simulator to more accurately simulate samples that charge. Approach: The improvements include both modeling of low energy electron scattering by first-principle approaches and charging of insulators by the redistribution of the charge carriers in the material with an electron beam-induced conductivity and a dielectric breakdown model. Results: The first-principle scattering models provide a more realistic charge distribution cloud in the material and a better match between noncharging simulations and experimental results. The improvements on the charging models, which mainly focus on the redistribution of the charge carriers, lead to a smoother distribution of the charges and better experimental agreement of charging simulations. Conclusions: Combined with a more accurate tracing of low energy electrons in the electric field, we managed to reproduce the dynamically changing charging contrast due to an induced positive surface potential.
Detail
Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch
Nano Letters ( IF 10.8 ) Pub Date: 2020-07-01 , DOI: 10.1117/1.jmm.19.3.034001
Background: With aggressive scaling of single-expose (SE) extreme ultraviolet (EUV) lithography to the sub-7-nm node, stochastic variations play a prominent role in defining the lithographic process window (PW). Fluctuations in photon shot noise, absorption, and subsequent chemical reactions can lead to stochastic failure, directly impacting electrical yield. Aim: Fundamental characterization of the mode and magnitude of these variations is required to define the threshold for failure. Approach: A complementary series of techniques is enlisted to probe the nature and modulation of stochastic variation in SE EUV patterning. Unbiased line edge roughness (LER), local critical dimension uniformity (LCDU), and defect inspection techniques are employed to monitor the frequency of stochastic variations leading to failures in line/space (L/S) and via patterning. Results: When characterizing different resists and illumination conditions, there is no change in unbiased LER or via LCDU with increasing critical dimension (CD). Stochastic defect density is correlated with CD for both L/S and via arrays, and there is a strong correlation with L/S electrical yield data. Conclusions: Traditional 3σ LER and via LCDU measurements are not sensitive enough to define and improve PW. For PW centering and yield improvement, stochastic defect inspection is a necessity.
Detail
Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography
Nano Letters ( IF 10.8 ) Pub Date: 2020-05-12 , DOI: 10.1117/1.jmm.19.2.024601
Abstract. Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes. Aim: We introduce models for relating Pd to photon and resist statistics under various exposures and material conditions and analyze their impact in future EUV lithography. Approach: Three-dimensional reaction distribution is calculated by a fully coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Then probability models predict Pd from statistical data extracted from Monte Carlo results. Results: Stochastic defect generation is enhanced by cascade and/or cluster of correlated reactions among nearby polymers/molecules due to secondary electrons (SE)/acid diffusion and SEs generated along scattered photoelectron trajectories. Pd decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers, where reaction density is limited by SE, photoacid generator, and reaction site. Defect probability increases with decreasing target size for the same k1-factor, while strongly dependent on image slope and defocus. Conclusions: Our analyses suggest that applying EUV lithography to smaller target requires careful material choice, extremely precise process control, and further EUV power enhancement.
Detail
CD metrology for EUV resist using high-voltage CD-SEM: shrinkage, image sharpness, repeatability, and line edge roughness
Nano Letters ( IF 10.8 ) Pub Date: 2019-09-18 , DOI: 10.1117/1.jmm.18.3.034004
Abstract. Background: Extreme ultraviolet (EUV) lithography was introduced for the high-volume manufacturing of state-of-the-art semiconductor devices in 2019. One of the issues for the CD metrology of an EUV resist pattern is the resist shrinkage since the ratio of the shrinkage to the CD increases in EUV lithography compared with that in immersion argon fluoride lithography. Aim: A CD-SEM metrology for an EUV resist that was compatible with low shrinkage and high spatial resolution was investigated by using primary electrons (PEs) with high energy. Approach: The shrinkage, image sharpness, repeatability, and line edge roughness (LER) were evaluated for the EUV resist using PEs with energies of 200, 800, and 4000 eV. Results: The smallest shrinkage was obtained under the conditions of the repeatability from 0.15 to 0.22 nm by using PEs with an energy of 4000 eV. Moreover, the LERs obtained for 200, 800, and 4000 eV were almost the same. Conclusions: While the electron irradiation damage for an under layer and the amount of shrinkage depending on pattern size could cause issues, the high voltage CD-SEM provides a solution to CD monitoring in high-volume manufacturing using EUV lithography.
Detail
Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations
Nano Letters ( IF 10.8 ) Pub Date: 2020-08-01 , DOI: 10.1117/1.jmm.19.3.034601
In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification at a molecular level. We demonstrate that density functional theory calculations can fulfill this purpose. We first demonstrate that primary electron energy spectrum can be predicted accurately. Second, the dynamics of a photoacid generator upon excitation or electron attachment are studied with ab-initio molecular dynamics calculations. Third, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear. The correlation between such calculations and experiments suggests that these methods can be applied to computationally screen and design molecular components of EUV material and speed up the development process.
Detail
Construction of complex logic circuit based on nanoparticles
Nano Letters ( IF 10.8 ) Pub Date: 2020-09-01 , DOI: 10.1117/1.jmm.19.3.034801
Background: Molecular logic circuits have great potential applications. DNA logic circuit is an important research direction of DNA computing in nanotechnology. DNA self-assembly has become a powerful tool for building nanoscale structures. The combination of different self-assembly methods is an interesting topic. Aim: Two different self-assembly methods are combined to realize large-scale logic circuit. A basic logical unit is extended to complex logic circuits by self-assembly. Approach: The complex logic circuit is solved by combining nanoparticles. One DNA strand attached to nanoparticle maps to a logical unit. Just as the combination between logical units can form logic circuits, the combination between nanoparticles can be used to structure logic circuits. On a larger-scale logic circuits, this is done by attaching the assembled nanoparticles to an origami template. Different logical values are mapped into different DNA initiators. Results: After the reaction is over, the nanoparticles are dynamically separated from the DNA origami template, indicating that the result is true. The nanoparticles remain on the DNA origami template, indicating that the result is false. The simulation results show that this self-assembly model is highly feasible for complex logic circuits. Conclusions: The model combines two different self-assembly methods to realize large-scale logic circuits. Compared with previous models, this model implements a larger logic circuit on one origami template. This method can be used to construct more complex nanosystems and may have potential applications in molecular engineering.
Detail
Design of SOI MEMS-based Bennet’s doubler kinetic energy harvester
Nano Letters ( IF 10.8 ) Pub Date: 2020-02-20 , DOI: 10.1117/1.jmm.19.1.015001
Abstract. Background: Design of microelectromechanical system based Bennet’s doubler kinetic energy harvester (KEH) is tricky as it has to satisfy the operating criteria of doubler circuit along with the harvester’s design constraints for its operation. Aim: Design guidelines for an electrostatic KEH using Bennet’s doubler circuit along with its experimental validation are presented. Approach: Bennet’s doubler circuit can work as a KEH only for a specific range of capacitance ratio across interdigitated electrodes of the harvester. The constraints on the resonant frequency of Bennet’s doubler harvester have been deduced to achieve operational capacitance ratio at both low and high vibrational frequencies. Finally, a test structure is fabricated, using silicon-on-insulator multiuser MEMS processes, and tested for capacitance ratio η greater than 1.366, a prerequisite for the operation of Bennet’s doubler circuit. Results: Resonant operation of the test structure achieves capacitance ratio of 1.39 with a capability of harvesting energy density of 4.63  μJ/cm3. Further, an improved harvester design is also presented for η  =  1.5, based on the discussed guidelines that increase the energy density to 19.6  μJ/cm3. Conclusions: We will present an insight into the design of Bennet’s doubler harvester for different vibrational frequencies, which is being widely explored for electrostatic energy harvesting.
Detail
Self-aligned double patterning for active trim contacts with anisotropic pattern pitches in sub-20 nm dynamic random access memories
Nano Letters ( IF 10.8 ) Pub Date: 2019-12-18 , DOI: 10.1117/1.jmm.18.4.040501
Background: With continuous decrease in the technology node of dynamic random access memories (DRAMs) down to sub-20 nm, the self-aligned double patterning (SADP) is an effective approach to generate two-dimensional (2-D) patterns, particularly contact arrays. Aim: We demonstrate a patterning scheme using the SADP technique to produce active trim contacts with anisotropic pattern pitches. Approach: The proposed scheme uses two consecutive spacer-formation processes. Results: By making the ellipsoidal core pillars and minimizing the spacer thickness, 2-D critical dimensions (CDs) for self-generated contacts match well with those for core contacts. In addition, an interesting cross-dependence of X -CD and Y -CD variations for the core and self-generated contacts is observed. Conclusion: This patterning approach is useful for forming active trim contacts in sub-20 nm DRAMs using fewer numbers of ArF immersion photolithography steps.
Detail
Mask-absorber optimization: the next phase
Nano Letters ( IF 10.8 ) Pub Date: 2020-05-06 , DOI: 10.1117/1.jmm.19.2.024401
Abstract. We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n   中文翻译: 掩模吸收器优化:下一阶段 摘要。我们继续研究使用极紫外 (EUV) 光刻成像中掩模形貌引起的相位效应的物理学,特别是如何通过替代掩模吸收剂减轻这些影响。我们提出了一个半解析模型来计算掩模形貌引起的相位偏移,并研究其在 13.5 nm 波长下在整个材料空间中的趋势。我们证明该模型与 3D 严格模拟非常一致。使用该模型,我们解释了为什么先前证明的接近 1.2π 的相移最适合 EUV 成像。我们展示了低折射率掩模吸收器(n < 0.91)对于良好的掩模 3D 缓解至关重要。我们展示了掩模偏置和入射角对于使用优化的衰减相移掩模 (PSM) 进行成像的重要性,这使得良好的源掩码优化必不可少。我们针对一些基本用例展示了替代掩模吸收器的光刻性能,包括高 k 掩模,以及低和高透射衰减 PSM,证实了可通过掩模吸收器优化获得的光刻增益。
Detail
SCI Journal Division of the Chinese Academy of Sciences
Major Disciplines Sub Discipline TOP Summarize
工程技术1区 CHEMISTRY, MULTIDISCIPLINARY 化学综合1区 Not Not
Supplementary Information
Self Citation Rate H-index SCI Inclusion Status PubMed Central (PML)
4.50 381 Science Citation Index Science Citation Index Expanded Not
Submission Guidelines
Journal Submission Website
https://acs.manuscriptcentral.com/acs
Submission Guidelines
https://publish.acs.org/publish/author_guidelines?coden=nalefd
Submission Template
https://pubs.acs.org/page/nalefd/submission/authors.html#TEMPLATES
Reference Format
https://publish.acs.org/publish/author_guidelines?coden=nalefd
Collection Carrier
Letters Mini Review Viewpoints